[FPGA] Elementarz MAXimatora – pierwszy projekt na FPGA – nie musisz znać Veriloga ani VHDL-a!

maximator-promo

Redakcja portalu MIKROKONTROLER.pl przygotowała pierwszy artykuł z serii wprowadzającej do układów FPGA, w którym pokazano skąd i jakie bezpłatne oprogramowanie należy pobrać, żeby móc wygodnie przygotowywać projekty FPGA na zestawie MAXimator.

Artykuł wprowadzający do zestawu MAXimator jest dostępny pod adresem https://mikrokontroler.pl/content/altera-fpga-max10-maximator-pierwszy-projekt.

Przygotowano także krótki film, prezentujący krok-po-kroku realizację prostego projektu implementowanego w FPGA z zestawu MAXimator – jest to 4-bitowy licznik (będący syneztowalną wersję znanego z serii TTL układu 7493), którego wyjścia są monitorowane za pomocą LED zamontowanych na płytce bazowej MAXimatora.

Siłą tej prezentacji (przedstawiamy ją poniżej) jest wykorzystanie do przygotowania projektu edytora schematów, który jest wbudowany w oprogramowanie Quartus Prime. Dzięki temu przygodę z FPGA można zacząć od razu od implementacji projektu, bez konieczności uczenia się któregoś z języków opisu sprzętu (HDL) typu VHDL lub Verilog.